top of page

EUV Lithography - The Future of Lithography


EUV (extreme ultraviolet lithography) lithography is a lithography technique which uses EUV light having extremely short wavelength of 13.5 nm. It allows exposure of fine circuit patterns with a half-pitch below 20 nm that cannot be exposed by the conventional optical lithography techniques. With the development of EUV lithography, Moore's law still holds true. This lithography technique is expected to revolutionize the state-of-the-art semiconductor manufacturing. Semiconductor industry as a whole is innovating in the EUV space as it transitions high end technology production from 193 nm to EUV. EUV lithography market is expected to grow from USD 2.98 billion in 2018 to USD 10.31 billion by 2023, at a CAGR of 28.16% [1].


Why EUV Lithography

There are a number of next-generation lithography techniques such as X-ray Lithography, Electron Beam lithography and Nano-imprint lithography which are in race with EUV Lithography. However, EUV Lithography technique prevails over its contemporaries as it caters to the upcoming scaling demand for printing of smaller features. Using the technique ,lithography tool manufacturers have gradually reduced the wavelength (extreme ultraviolet) of the light used for designing imaging systems.​


Major Challenges Ahead of EUV Lithography

In EUV lithography, a power source converts plasma into light at 13.5nm wavelengths. Then, the light bounces off several mirrors before hitting the wafer for printing tiny features on the wafer. Despite several advantages of the technology, EUV still faces many challenges including light source, equipment downtime among others. The biggest roadblock in the path of EUV lithography is the requirement of a high-power light source as high-power light source is required to illuminate photoresist. The failure to develop a light source with enough power has been one of the primary reasons behind delay in commercial use of EUV lithography. ASML is currently shipping equipment with 250W radiation power and shown capability to generate 450W radiation. The production of high power light source shall improve reliability of EUV lithography. Another major challenge (in developing a satisfactory photoresist for EUV lithography) which impedes the success of the technology is the strong absorption of EUV radiation by all materials. The absorption depth in standard organic resists used today is less than 100 nm. EUV resists will most likely be structured so that printing occurs in a very thin imaging layer at the surface of the resist. Further, EUV resist materials will have to evolve with upcoming evolution in light source technology.


Due to these challenges, the technology has not tasted much commercial success, yet. Despite the challenges, world’s leading Integrated Device Manufacturers (IDMs) such as Samsung, TSMC, and Intel have seen good potential in the technology and have invested in it. These companies have invested in ASML's R&D for the development of EUV lithography. The investment by leading IDMs has been a major factor behind commercial deployment of ASML’s EUV scanners. The shipment of EUV scanners by ASML has been continuously rising.


Samsung has already started using ASML’s EUV scanners for production of chips based on 7nm LPP process technology. Samsung’s use of EUV lithography is going to further increase with its new EUV based production facility in Hwaseong, Korea which will be ready for production in 2020. It has been reported that TSMC is also about to start mass production of 7nm chips using EUV lithography along with production of 5nm node technology expected by 2020-2021. As a result of these developments, TSMC is said to be the biggest customer for ASML’s EUV scanner scheduled for shipment in 2019. Apart from these two major manufacturers, Intel, SK Hynix, and SMIC are some of the other IDMs which are building production facilities based on EUV lithography.



ASML, the largest supplier in the world of photolithography systems (in semiconductor industry), has recognized that the technology of EUV lithography is core to the next-generation chip processing technology and is therefore, one of the leading innovators in the technology. Carl Zeiss, leading supplier of optical systems in the world has recently shipped its first EUV optics to ASML and is one of the top patent filers in the technology. Though, in its development phase, the technology will attract attention of many major companies as it represents a major advance in the continued miniaturization of the essential components.


References: [1] https://www.marketsandmarkets.com/PressReleases/extreme-ultraviolet-lithography.asp


Related Blogs

bottom of page